巨子 ICON - 財經股票資訊及專家分析
快訊
資訊
    虛擬市場
    專家

    快訊

    資訊

    半導體設備系列:內地前道設備厚積薄發,加速成長

    半導體設備系列:內地前道設備厚積薄發,加速成長

    近年來國內半導體設備廠商的技術水平實現快速突破。我們認為在國內外半導體行業擴產背景下,國內半導體前道設備廠商迎來發展機遇。

    摘要

    根據SEMI數據,2020年全球半導體設備市場規模為712億美元,全球半導體前道設備市場規模為612億美元。我們看到全球半導體行業2H20開始由於晶片缺貨,各廠商加大資本開支,行業重新進入上行周期。SEMI預計2021/2022年全球半導體設備市場規模有望達到953/1,013億美元,其中前道設備市場規模為817/869億美元。

    中國大陸半導體設備市場規模過去幾年穿越周期持續增長。根據SEMI數據,2015年中國大陸半導體設備市場規模為49億美元,2020年達到187億美元。參照全球半導體設備市場構成,我們測算2020年中國大陸半導體前道設備市場規模約為161億美元。在晶圓代工/存儲器國產化趨勢下,我們認為國內晶圓廠商有望在未來幾年維持高資本開支,驅動國內半導體設備市場規模保持增長。

    半導體設備前道設備技術壁壘高,國內廠商不斷取得突破,縮小與海外廠商差距。近年來,隨着政策支持以及國內下游需求增加,國內廠商技術水平正在加速追趕。國內頭部前道設備廠商整體水平達到28nm製程,並在14nm/7nm/5nm製程實現了部分設備的突破。

    根據芯謀研究,2020年中國大陸半導體前道設備採購額中,國產設備比例僅為7%,而美國/日本設備比例為53%/17%。雖然目前國內半導體設備廠商的市場佔有率低,但國產替代市場空間廣闊。通過分析長江存儲、華虹集團、上海積塔等廠商招標情況,我們看到國內廠商在清洗設備、刻蝕設備等細分領域已取得一定突破。當前國內28nm及以上成熟製程擴產規模較大,國內設備廠商迎來市佔率提升的關鍵期。

    風險

    國內半導體設備廠商研發進展不及預期,半導體設備國產化率不及預期,國內晶圓廠商資本開支不及預期,中美貿易摩擦加劇。

    正文

    什麼是半導體前道?

    半導體前道工藝概述

    晶片製造可分為矽片生產、前道工藝(晶圓製造)、後道工藝(封裝測試)等步驟。其中,前道工藝是最為複雜步驟,前道工藝製造先進集成電路器件的過程如同建造一個幾十層的微觀樓房,需要一層一層建造微觀結構,舉例來看,若要建立60層的複雜結構,需要約1,000個加工步驟,涉及光刻、刻蝕、薄膜沉積、清洗、化學機械拋光、塗膠顯影、熱處理、離子注入、檢測等不同的工序。

    圖表:晶片製造過程

    資料來源:《半導體製造技術導論》,中金公司研究部(中金點睛授權使用)

    相對應地,半導體前道設備可以劃分為光刻設備、刻蝕設備、薄膜沉積設備、清洗設備、CMP設備、塗膠顯影設備、熱處理設備、離子注入設備、檢測設備等種類。

    新興的半導體「中道工藝」

    傳統封裝(後道工藝)通常採用引線鍵合,隨着封裝技術朝小型化、高密度、多功能的方向發展,非採用引線鍵合的先進封裝成為封裝行業的發展趨勢之一。以Bumping工藝、TSV工藝等典型的先進封裝技術為例,它們也採用了類似前道工藝中所使用的光刻、刻蝕等技術,但在精度等方面又有所區別,既不算嚴格意義上的前道工藝,也不是傳統意義上的後道工藝,通常被稱作「中道工藝」。(由於「中道工藝」設備供應商基本和前道設備供應商重合,且台積電、中芯國際等前道晶圓廠商也涉及這部分先進封裝業務,大部分的「中道」設備銷售量也通常在統計時計入前道設備,為方便討論,本報告也將「中道」納入前道範疇)

    前道設備市場概覽

    全球前道設備市場:周期成長,海外廠商佔據主要份額

    全球半導體設備市場具有周期成長的特點。根據SEMI數據,2020年全球半導體設備銷售額達712億美元。2019年由於存儲市場疲軟,半導體行業進入下行周期,半導體設備市場也有所下降。2020年由於晶片供給緊張,全球晶圓廠商加大資本開支擴建產能,半導體行業重新進入上行周期。其中,前道設備佔據半導體設備絕大多數金額。根據SEMI數據,2020年全球半導體前道設備市場銷售額612億美元,佔總設備銷售額86.0%。

    SEMI預計2021年全球半導體設備市場規模有望增長34%至953億美元,到2022年全球半導體設備市場有望突破1,000億美元,主要驅動力來自於半導體行業擴張周期下全球半導體廠商資本開支不斷增加。對應地,SEMI預計2021年全球半導體前道設備有望按年增長33%至817億美元,到2022年全球半導體前道設備市場有望達到869億美元。

    圖表:全球半導體設備市場規模與增速情況

    資料來源:SEMI,中金公司研究部(中金點睛授權使用)

    按照地域拆分,根據SEMI數據,2020年全球半導體設備銷售額從高至低分別為中國大陸(26.3%)、中國台灣(24.1%)、韓國(22.6%)、日本(10.6%)、北美(9.2%)和歐洲(3.7%)。

    中國大陸、中國台灣、韓國是半導體設備三大重要下游市場。得益於近幾年來中國大陸晶圓廠積極擴產,中國大陸於2020年第一次成為全球半導體設備第一大下游市場。短期來看,我們認為未來幾年,隨着存儲器行業的復甦,韓國有望再次成為全球半導體設備最大下游市場。長遠來看,我們認為隨着中國大陸晶圓製造等產能不斷提升,中國大陸有望長期維持全球半導體設備第一大下游市場地位。

    光刻設備、刻蝕設備、薄膜沉積設備是半導體前道設備中最為核心的3類設備。結合SEMI及Gartner數據,我們測算出2020年光刻設備佔半導體前道設備價值量21.9%,刻蝕設備佔半導體前道設備價值量20.2%,薄膜沉積設備佔半導體前道設備價值量19.1%。

    圖表:全球半導體設備銷售額按地域拆分

    資料來源:SEMI,中金公司研究部(中金點睛授權使用)

    圖表:2018-2020年全球各細分半導體前道設備市場佔比

    資料來源:SEMI,Gartner,中金公司研究部(中金點睛授權使用)

    半導體前道設備行業集中度高,美歐日把控不同細分領域龍頭位置。從市場份額來看,近年來設備行業競爭格局穩定,設備的細分子領域呈現寡頭壟斷格局。

    以Applied Materials(應用材料)、ASML(阿斯麥)、Lam Research(泛林半導體)、Tokyo Electron(東京電子)、KLA-Tencor(科磊半導體)為代表的海外半導體設備巨頭較早進入市場,擁有深厚的技術積累、穩定的客户關係、領先的市場品牌及雄厚的資金實力等資源,在全球半導體設備市場中佔據領先地位。根據Gartner數據,2020年全球前五大半導體設備廠商分別為Applied Materials、ASML、Lam Research、Tokyo Electron及KLA-Tencor,對應市場份額分別為18.61%、18.12%、14.98%、13.42%及6.45%,銷售額合計達464.57億美元,佔市場總額的71.60%,形成較高的行業集中度。

    其中,Applied Materials被業界譽為「半導體設備超市」,其產品服務覆蓋領域包括等離子體刻蝕、單晶圓熱處理、化學氣相薄膜沉積、物理氣相薄膜沉積、外延薄膜沉積、離子注入、檢測等;ASML專攻光刻設備,通過多年的研發與技術積累,在光刻設備這一細分市場已經形成主導地位;Lam Research、Tokyo Electron和KLA-Tencor也不斷豐富拓展其產品線,在等離子體刻蝕、薄膜沉積、熱處理、清洗、顯影、檢測等領域擁有較為成熟的產品。

    圖表:2020年全球前五大半導體設備廠商營業收入及市場份額

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    圖表:全球各細分半導體前道設備市場規模(2020A)

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    圖表:全球各細分半導體前道設備市場競爭格局(2020A)

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    中國大陸前道設備市場:穿越周期,規模持續成長

    近年來,中國大陸半導體行業持續處於高速發展,中國大陸半導體設備市場在過去幾年也持續保持了按年正向增長。根據SEMI數據,2020年中國大陸半導體設備銷售額為187億美元。

    圖表:中國大陸半導體設備市場規模與增速情況

    資料來源:SEMI,中金公司研究部(中金點睛授權使用)

    在國產替代趨勢下,國產半導體設備已經取得重大進展,整體水平達到28nm製程,並在14nm和7nm製程實現了部分設備的突破。先進製程產線為了保證產品良率,中國大陸晶圓廠仍以採購海外設備為主,我們認為待國產設備通過客户驗證且下游客户產能順利爬坡後,國產設備佔比有望提升;而在中低端製程,國產化率有望得到顯著提升。

    各前道設備巡禮

    前道設備#1:光刻機

    光刻機的工作原理是利用光通過具有圖形的光罩對塗有光刻膠的晶圓曝光,光刻膠見光後會發生性質變化,從而使光罩上的電路圖複印到晶圓上,形成電子線路圖。

    光源是光刻機的核心零部件之一,光源波長越短,光刻機分辨率越高,製程工藝越先進。根據光源波長不同,光刻機可以細分為EUV光源光刻機、DUV光源光刻機(ArF、KrF)、汞燈光源光刻機(I線、G線)。最早光刻機的光源是採用汞燈產生的紫外光源(UV),從G線一直發展到I線,波長縮小到365nm。隨後出現準分子激光的深紫外光源(DUV)將波長進一步縮小到ArF的193nm。之後,業界開始採用極紫外光源(EUV)進一步提供更短波長的13.5nm光源。目前,EUV光刻機可應用在7nm以下的最高端工藝上,而目前只有荷蘭ASML一家能夠提供可供量產用的EUV光刻機。

    圖表:光刻機分類

    資料來源:半導體行業觀察,中金公司研究部(中金點睛授權使用)

    根據Gartner數據,2020年全球光刻機市場規模131億美元,ASML、Nikon和Canon佔據全球光刻機90%以上市場份額。其中,ASML是全球絕對龍頭,市佔率為75%,並幾乎佔據全部高端光刻機(EUV)市場;Nikon和Canon產品主要為中低端機型,分別佔據13%和6%的市場份額。國內光刻機企業中上海微電子正在加速追趕:2017年6月,公司EUV光刻關鍵技術研究完成驗收;2018年3月,公司承擔的02專項90nm光刻機樣機研製項目順利通過驗收;目前公司正在攻關28nm光刻機。

    圖表:2018-2020年全球光刻機市場規模

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    圖表:2020年全球光刻機市場競爭格局

    資料來源:SEMI,中金公司研究部(中金點睛授權使用)

    前道設備#2:刻蝕機

    光刻機的工作原理是利用光通過具有圖形的光罩對塗有光刻膠的晶圓曝光,光刻膠見光後會發生性質變化,從而使光罩上的電路圖複印到晶圓上,形成電子線路圖。刻蝕工藝的目的是把圖形從光刻膠轉移到待刻蝕的薄膜上。

    目前主流的刻蝕設備有CCP(電容耦合等離子體)刻蝕機和ICP(電感耦合等離子體)刻蝕機兩類:

    ► CCP刻蝕機:利用電容耦合產生等離子體,這種等離子密度較低,但能量較高,適合刻蝕氧化物、氮氧化物等較硬介質材料和掩膜等。

    ► ICP刻蝕機:利用電感耦合產生等離子體,這種等離子密度高,能量較低,但調控起來更靈活,可獨立控制離子密度和能量,適合刻蝕單晶矽、多晶矽、金屬等硬度不高或比較薄的材料。

    圖表:刻蝕機分類

    資料來源:頭豹研究院,中金公司研究部(中金點睛授權使用)

    根據Gartner數據,2020年全球刻蝕機市場規模123億美元:其中,導體刻蝕設備市場規模45億美元,介質刻蝕設備市場規模78億美元。2020年全球刻蝕設備行業前三名為Lam Research、Tokyo Electron、Applied Materials,三者總共佔據90%以上市場份額。中國大陸企業中,中微公司的CCP刻蝕機接近全球一流水平,目前已經進入台積電最新工藝產線,北方華創的ICP刻蝕機在中國大陸技術領先。

    圖表:2018-2020年全球刻蝕設備市場規模

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    圖表:2020年全球刻蝕設備競爭格局

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    前道設備#3:薄膜沉積設備

    薄膜沉積主要是在晶圓上沉積各種材料的薄膜,主要方法有化學氣相沉積、蒸發、濺射等:

    ► 物理氣相沉積(PVD):在真空狀態下,加熱源材料,使原子或分子從源材料表面逸出從而在襯底上生長薄膜的方法。物理氣相沉積的主要方法有,真空電子束或電阻蒸鍍、濺射鍍膜、電弧等離子體鍍、離子鍍膜,及分子束外延等。

    ► 化學氣相沉積(CVD):使氣態物質在固體的表面上發生化學反應並在該表面上沉積,形成穩定的固態薄膜的過程。主要分為四個重要的階段:1)反應氣體向基體表面擴散;2)反應氣體吸附於基體表面;3)在基體表面上產生的氣相副產物脱離表面;4)留下的反應物形成覆層。採用等離子和激光輔助等技術可以顯著地促進化學反應,使沉積可在較低的温度下進行。常用的CVD設備包括PECVD、SACVD、APCVD、LPCVD等,適用於不同工藝節點對膜質量、厚度以及孔隙溝槽填充能力等的不同要求。

    ► 原子層沉積(ALD):是一種可以將物質以單原子膜形式一層一層的鍍在基底表面的方法。原子層沉積與普通的化學沉積有相似之處。但在原子層沉積過程中,新一層原子膜的化學反應是直接與之前一層相關聯的,使這種方式每次反應只沉積一層原子。ALD設備沉積的薄膜具有非常精確的膜厚控制和非常優越的台階覆蓋率,對於多維結構體表面精確成膜需求具有不可替代的應用。基於此,在28nm以下關鍵尺寸縮小的雙曝光工藝方面取得了越來越廣泛的應用。

    圖表:ALD、PVD、CVD工藝對比

    資料來源:前瞻產業研究院,中金公司研究部(中金點睛授權使用)

    目前,CVD設備廣泛應用於半導體工藝中各種薄膜沉積,如外延矽沉積、多晶矽沉積、電介質薄膜沉積和金屬薄膜沉積。根據Gartner數據,2020年CVD設備(包含ALD設備)市場規模佔薄膜沉積設備市場規模比例為64%,領先於其他類型薄膜沉積設備,PVD設備佔比為25%,MOCVD設備佔比為3%;Nontube CVD設備市場規模佔CVD設備市場規模比例為86%;Plasma CVD設備市場規模佔Nontube CVD設備市場規模比例為63%,ALD設備佔比為24%。

    圖表:2020年薄膜沉積設備市場結構

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    根據Gartner數據,2020年全球CVD設備(包含ALD設備)市場規模為84.2億美元,全球PVD設備市場規模為30.9億美元。根據Gartner數據,2020年Applied Materials佔據全球CVD設備市場份額28%,佔主導地位,Lam Research和Tokyo Electron緊隨其後。國內企業中北方華創的LPCVD、拓荊科技的PECVD已經通過國內主流晶圓廠驗證,開始出貨銷售。根據Gartner數據,2020年Applied Materials佔據全球PVD設備市場份額86%,市場集中度較高。國內企業北方華創佔比2%,公司28nm氮化鈦硬掩膜(Hardmask PVD)、Al-Pad PVD設備已進入國內主流12英寸晶圓廠商供應鏈。

    圖表:2018-2020年全球CVD設備市場規模

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    圖表:2020年全球CVD設備市場競爭格局

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    圖表:2018-2020年全球PVD設備市場規模

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    圖表:2020年全球PVD設備市場競爭格局

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    前道設備#4:檢測設備

    集成電路檢測是保證產品良率和成本管理的重要環節。集成電路的測試主要包括晶片設計中的設計驗證、晶圓前道加工中的晶圓檢測和晶圓後道加工中的成品測試。其中,前道檢測設備的目的是檢查每一步製造工藝後,晶圓的加工參數是否達到設計要求或者是否存在缺陷,主要運用光學、電子束量等檢測手段,屬於物理性檢測。前道檢測設備根據功能可分為量測類、缺陷檢測類;根據技術原理分為光學檢測設備、電子束檢測設備和其他檢測設備。

    ► 量測類設備:主要用來測量薄膜厚度、關鍵尺寸、套準精度、膜應力、摻雜濃度等指標以確保產品參數符合設計要求,對應的設備有橢圓偏振光譜儀、四探針、原子力顯微鏡(AFM)、掃描電子顯微鏡(SEM)、熱波系統、相干探測顯微鏡等。

    ► 缺陷檢測類設備:主要用於檢測並定位晶圓表面的雜質顆粒沾污、機械劃傷等缺陷,可按使用場景再分為光罩/掩模檢測、晶圓表面缺陷檢測(無圖形晶圓檢測/圖形化晶圓檢測)、晶圓表面缺陷複查,對應的設備有光學顯微鏡、掃描電子顯微鏡等。

    從細分領域價值佔比的角度來看,根據智研諮詢,2020年圖形化晶圓檢測設備的佔比最高(32%),排名第二第三的分別是掩膜檢測(15%)和膜厚量測(12%)。

    圖表:前道檢測設備分類

    資料來源:《納米集成電路製造工藝》,中金公司研究部(中金點睛授權使用)

    根據Gartner數據,2020年全球晶圓前道檢測設備市場規模約為72億美元。全球晶圓前道檢測設備的供應商相對集中,主要有KLA-Tencor和Applied Materials,2020年兩者的市場佔有率分別為53.6%和11.3%。

    圖表:2018-2020年全球前道檢測設備市場規模

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    圖表:2020年全球前道檢測設備市場競爭格局

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    前道設備#5:清洗設備

    晶圓清洗是指去除晶圓表面在半導體製造過程中產生的顆粒、自然氧化層、金屬污染、有機物、犧牲層、拋光殘留物等雜質。根據清洗介質不同,半導體清洗技術主要分為濕法清洗和乾法清洗兩種工藝路線。

    ► 濕法工藝是使用各種化學藥液與晶圓表面各種雜質粒子發生化學反應,生成溶於水的物質,再用高純水沖洗,依次去除晶圓表面各種雜質。氧等離子通過化學反應可使非揮發性有機物變成易揮發的H2O和CO2,氫等離子通過化學反應可以去除金屬表面氧化層,通常用於清潔金屬表面,在清洗過程中避免金屬氧化。

    ► 乾法工藝是不採用溶液的清洗技術,通過等離子體清洗技術、氣相清洗技術或束流清洗技術來去除晶圓表面的雜質。一般用於去除氧化物、環氧樹脂溢出或是微顆粒污染物。

    在集成電路製造的先進工藝中,單片清洗設備已取代槽式設備成為主流,主要由於單片清洗能夠在整個製造周期提供更好的工藝控制,改善單個晶圓和不同晶圓間的均勻性,提高產品良率;更大尺寸的晶圓和更先進的工藝對於雜質更敏感,槽式清洗出現交叉污染的概率更大。

    圖表:半導體清洗設備分類

    資料來源:盛美上海招股說明書,中金公司研究部(中金點睛授權使用)

    根據Gartner數據,2020年全球清洗設備市場規模35億美元。全球清洗設備前三家Screen、Tokyo Electron和Lam Research佔比接近90%,馬太效應顯著,行業高度集中。其中Screen佔比近50%,處於決定領先地位。國內企業中,盛美上海是國產清洗設備的龍頭廠商,主流產品客户包括中芯國際、長江存儲、SK Hynix等。

    圖表:2018-2020年全球半導體清洗設備市場規模

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    圖表:2020年全球半導體清洗設備市場競爭格局

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    前道設備#6:塗膠顯影設備

    塗膠顯影設備是光刻工序中與光刻機配套使用的塗膠、烘烤及顯影設備,包括塗膠機、噴膠機和顯影機。工藝過程主要是通過機械手使晶圓在各系統之間傳輸和處理,完成晶圓的光刻膠塗覆、固化、顯影、堅膜等工藝過程。該設備決定光刻工序細微曝光圖案的形成,以及後續蝕刻和離子注入等工藝中圖形轉移的結果。是集成電路製造過程中不可或缺的關鍵工藝步驟。

    圖表:塗膠、顯影工藝簡介

    資料來源:芯源微招股說明書,中金公司研究部(中金點睛授權使用)

    根據Gartner數據,2020年全球塗膠顯影設備市場規模24億美元,Tokyo Electron全球市佔率超過90%。國內最有競爭力的公司為芯源微,其塗膠顯影設備已從後道先進封裝領域、LED領域拓展到MEMS、化合物、功率器件等領域,客户覆蓋台積電、長電科技、華天科技、通富微電、晶方科技、華燦光電、乾照光電、澳洋順昌、中芯紹興、中芯寧波等國內一線廠商。

    圖表:2018-2020年全球塗膠顯影設備市場規模

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    圖表:2020年全球塗膠顯影設備市場競爭格局

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    前道設備#7:CMP設備

    CMP(Chemical Mechanical Polishing,即化學機械拋光),是集成電路製造過程中實現晶圓表面平坦化的關鍵工藝。CMP結合化學腐蝕和機械研磨技術,先使用含有氧化劑、絡合劑的拋光液在晶圓表面生成一層較軟的鈍化層,再通過磨粒與拋光墊對鈍化層進行機械去除,「軟化-剝離」過程循環往復,最終使被拋光的晶圓表面實現高度平坦化、低表面粗糙度和低缺陷的要求。

    按矽片尺寸分,CMP設備有適用於12英寸和8英寸兩類,12英寸CMP設備是業界公認的衡量一個廠家CMP設備研發技術水平的標杆產品。目前90nm以下工藝的高端市場普遍採用12英寸矽片進行加工,12英寸與8英寸相比直徑增長50%、面積擴大125%,對拋光精度的要求更高,因此需要使用更先進的拋光頭超精密分區壓力控制技術和終點檢測技術。

    按薄膜種類分,主要分為金屬薄膜、氧化矽薄膜及矽薄膜三大類CMP技術,應用於邏輯、DRAM及NAND晶片的製備過程。

    圖表:CMP細分領域

    資料來源:各公司官網,中金公司研究部(中金點睛授權使用)

    根據Gartner數據,2020年全球CMP設備市場規模為16億美元。目前全球CMP設備市場主要由海外廠商佔據,Applied Materials和Ebara的全球市佔率合計超過90%,14nm以下先進製程生產線上所應用的CMP設備更是僅由這兩家國際巨頭提供。國產設備廠商方面,主要有華海清科,目前公司產品已進入國內主流晶圓廠商供應鏈。

    圖表:2018-2020年全球CMP設備市場規模

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    圖表:2020全球CMP設備市場競爭格局

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    前道設備#8:熱處理設備

    熱處理工藝主要有:氧化/擴散/退火,所使用到的半導體設備有卧式爐/立式爐/快速熱處理(RTP)設備等。半導體制備過程所處的超淨間寸土寸金,目前更節約空間的立式爐已逐步替代卧式爐;不同於卧式爐/立式爐的批量處理方式,RTP採用的是單片熱處理方式。

    ► 氧化是指加入氧氣在晶圓表面反應生產一層二氧化矽,從而實現表面鈍化、絕緣及防止摻雜物進入矽面的效果。

    ► 擴散是實現摻雜工藝的方法之一,指利用熱擴散原理,使雜質元素在高温的作用下摻入矽襯底中,實現工藝要求的濃度分佈,形成有效的PN結,目前離子注入已逐步取代擴散成為了主流的摻雜方法。

    ► 退火是指通過加熱使晶圓產生特定的物理、化學變化,並在晶圓表面增加或移除少量物質,實現消除晶格缺陷和消除矽結構晶格損傷的目的,常用於離子注入工藝之後。

    根據Gartner數據,2020年全球半導體熱處理設備市場規模為15億美元,其中快速熱處理設備市場規模為7億美元,氧化/擴散設備市場規模約6億美元。目前,Applied Materials、Tokyo Electron、Kokusai三家海外企業佔據全球熱處理設備市場絕大多數份額,三者市佔率合計約80%,其中Applied Materials單家的市場佔有率更是達到了40%。在全球快速熱處理市場,2020年Applied Materials以69%的市佔率位列第一,屹唐股份(Mattson)以12%的市佔率位列第二,另外3家主要的廠商分別為Hitachi、Veeco以及Screen,前5大廠商幾乎佔據了全球快速熱處理設備市場的全部份額。國內主要熱處理設備的生產廠商有北方華創、屹唐半導體,北方華創的立式爐/卧式爐達到國產半導體設備領先水平,屹唐半導體在2016年收購以RTP為主導產品的Mattson後已有設備進入了5nm邏輯晶片量產產線。華卓精科也具有UPEALA-200/300系列熱處理設備。

    圖表:2019-2020年全球熱處理設備市場規模

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    圖表:2020年全球熱處理設備競爭格局

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    前道設備#9:離子注入設備

    一般而言,矽單晶(最原始不含雜質)導電性能很差,只有當矽中加入少量雜質,使其結構和電導率發生改變時,矽才成為真正有用的半導體。目前摻雜主要有高温熱擴散法和離子注入法兩種,離子注入佔據着主流地位。其原理是將雜質電離成離子並聚焦成離子束,在電場中加速而獲得較高的動能後,注入到矽中而實現摻雜。

    離子注入設備按照能量高低可以分為低能量/高能量,按照束流大小可以分為中低束流/大束流。常用的生產型離子注入機主要有三種:低能大束流離子注入機、高能離子注入機和中低束離子注入機,其中低能大束流離子注入機和高能離子注入機製造技術難度相對更高。

    隨着先進製程發展,對離子注入機的要求也更高。為適應FinFET及3D NAND Flash需求,離子注入機需要具備更精確注入,更精確控制束斑形狀及注入劑量,以及高温注入能力以降低注入導致晶格缺陷等。

    圖表:離子注入設備分類

    資料來源:《離子注入機通用規範》,中金公司研究部(中金點睛授權使用)

    根據Gartner數據,2020年全球離子注入設備市場規模14億美元。全球90%以上的市場被Applied Materials、Axcelis、Sumitomo這三家海外企業佔據,市場集中度高。目前凱世通(萬業企業)和中科信(電科裝備)已具備集成電路用離子注入機的研發和生產能力,在中低束流和高能量這兩類離子注入設備上都有所進展。凱世通的光伏離子注入機領先,IC離子注入機在國內12英寸晶圓廠及主流存儲晶片廠成功驗證並形成銷售。2020年,中科信自主研製出了高能離子注入機,填補了國內高能離子注入機的空白。

    圖表:2018-2020年全球離子注入設備市場規模

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    圖表:2020年全球離子注入設備競爭格局

    資料來源:Gartner,中金公司研究部(中金點睛授權使用)

    投資亮點

    投資亮點#1:先進製程與存儲技術升級驅動設備投資提升

    半導體設備是半導體製造的上游環節,我們認為,晶片廠商對於性能、功耗、存儲容量等指標的需求不斷提升,推動半導體製造技術持續發展,進而為半導體設備催生量價齊升的投資機會。

    ► 邏輯方面,隨着台積電、三星等廠商不斷突破摩爾定律邊界,目前台積電基於EUV的7nm、5nm平台已成為其營收主力,並計劃於2022年前投產3nm;

    ► DRAM方面,為了獲得更快的速度與更低的能耗,DRAM隨着摩爾定律一步一步縮小自身尺寸,目前行業前三甲三星、SK海力士與鎂光都處於完成1Y製程並向1Z製程的轉換階段,我們認為未來隨着EUV光刻機的引入,製程可進一步縮小至10nm量級;

    ► NAND方面,由於平面微縮極限的到來,NAND轉向3D結構發展,目前64層產品已在各大境外廠商普及,三星、鎂光及東芝/西數也將計劃陸續推出128層NAND晶片,並積極佈局192層等更高堆疊層數產品。

    圖表:全球半導體行業邏輯器件、存儲器件技術演進

    資料來源:各公司官網,中金公司研究部(中金點睛授權使用)

    根據Tokyo Electron預計,隨着邏輯代工從14/16nm向5nm先進製程發展,設備投資金額有望由130億美元增長54%至200億美元;而3D NAND隨着堆疊層數增長所需設備投資額同樣增長迅速,相比48層,128層NAND設備投資額有望增長82%至80億美元,主要來自刻蝕設備需求的提升;DRAM相較NAND與邏輯設備投資額隨技術提升相對平緩,1Z製程所需設備投資額將達到72億美元,相比2Z製程的59億美元提升22%。

    圖表:半導體製造設備投資額隨技術迭代不斷提升

    資料來源:Tokyo Electron等公司官網,中金公司研究部

    投資亮點#2:全球尤其是大陸晶圓廠持續擴產,驅動設備市場快速增長

    根據SEMI,2020年全球晶圓廠商資本開支1069億美元,SEMI預計2024年全球半導體晶圓廠商資本開支有望達到1276億美元,其中:中芯國際2021年CAPEX 43億美元;華虹半導體2021年CAPEX 13億美元;台積電2021年CAPEX 300億美元;聯電2021年CAPEX 15億美元;世界先進2021年CAPEX 2億美元。

    圖表:2018-2024E全球晶圓廠CAPEX

    資料來源:SEMI,中金公司研究部(中金點睛授權使用)

    圖表:2018-2021E全球主要晶圓廠CAPEX

    資料來源:Bloomberg,中金公司研究部(中金點睛授權使用)

    晶圓廠擴產帶來的設備投資增長是帶動設備廠商業績增長的直接動力。根據SEMI數據,2017-2020年間全球新建與開始運營的晶圓產線中,中國大陸佔比最高,達到42%,我們認為,近年來中國大陸積極投產晶圓產線,為北方華創等國產半導體設備商提供了強有力的本土需求。

    圖表:2017-2020年全球新建與開始運營晶圓產線佔比(按地域拆分)

    資料來源:SEMI,中金公司研究部(中金點睛授權使用)

    圖表:2017-2020年全球各地開始投產的Fab數量

    資料來源:SEMI,中金公司研究部(中金點睛授權使用)

    投資亮點#3:進口替代率持續上升

    中國半導體製造廠商與國產設備商進行技術合作,提升設備投資的進口替代率水平,是驅動中國半導體設備行業發展的又一重要動力。一方面,Applied Materials、Lam Research、KLA-Tencor等美國半導體設備領域擁有舉足輕重的地位,中美貿易摩擦的頻繁反覆為製造廠商的供應鏈安全帶來不確定;另一方面,存儲器由於標準化程度較高,長江存儲等國產存儲器廠商存在追求性價比的需求,與國產設備廠商合作有望幫助製造廠商壓低成本,提升價格競爭力。

    目前國內半導體設備廠商的市場佔有率仍然較低。根據芯謀研究,2020年中國大陸半導體前道設備採購額中,國產設備比例僅為7%,而美國/日本設備比例為53%/17%。細分來看,我們通過分析長江存儲、華虹半導體、華力微、上海積塔2021年至今公開招標情況看到國內廠商在清洗設備、CMP設備、刻蝕設備等細分領域市佔率已取得一定突破,但在薄膜沉積設備、檢測設備、光刻設備等細分領域市佔率依然較低。

    當前,國內半導體設備廠商正迎來發展關鍵時間節點。我們看到國產刻蝕設備、清洗設備等產品已經基本能夠滿足28nm及以上成熟製程技術要求。根據我們產業鏈調研,我們也看到部分國內產線在組線過程中採購了較大比例的國產設備。我們認為一旦這些產線投產後順利運行,將進一步驗證國產半導體設備的可靠性,中芯國際、華虹半導體、長江存儲、合肥長鑫等廠商在擴產計劃中也將加速導入國產半導體設備比例。

    圖表:2020年中國大陸前道設備採購額按國別拆分

    資料來源:芯謀研究,中金公司研究部(中金點睛授權使用)

    本文由《香港01》提供

    於本流動應用程式(App)或服務內所刊的專欄、股評人、分析師之文章、評論、或分析,相關內容屬該作者的個人意見,並不代表《香港01》立場。